-
-
51
-
40好久没有追电视剧了,在公司偶然看到这部电视剧,就回家用手机开始追剧,一个礼拜看完。很喜欢里面的马摘星,结局有点意难平,结尾那句话让我久久难受(大概是这世间怪物太多,好想和狼仔回到狼狩山过那种无忧无虑,与世无争的生活)曲终人散,物是人非。本人又何尝不想过那种生活,可终究还不是要被现实打败,每天被万恶的金钱叫醒。每天面对自己不喜欢的东西着实可恶。喜欢电视剧里面的马摘星那种善良,纯真。但愿自己也能遇到那
-
19话说我很喜欢关晓彤了感觉他很漂亮,演的很好
-
1经核实吧主薛史王贾 未通过普通吧主考核。违反《百度贴吧吧主制度》第八章规定http://tieba.baidu.com/tb/system.html#cnt08 ,无法在建设 薛史王贾吧 内容上、言论导向上发挥应有的模范带头作用。故撤销其吧主管理权限。百度贴吧管理组
-
29很喜欢里面的陈小希后续再说
-
19为什么我看到李妃的遭遇特别想哭呢 默默的流了泪 从小就想惩恶扬善的我好像和包拯一样替人申冤的
-
31
-
3快30贴了吧,我要当吧主了,转正
-
1Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity LS160 IS port( clk,CTT,CTP,LD,CR:in std_logic; D: in std_logic_vector(3 DOWNTO 0); CQ:out std_logic_vector(3 downto 0); Co: out std_logic); end LS160; ARCHITECTURE BHV OF LS160 IS signal a,b: std_logic ; signal CQI:std_logic_vector(3 downto 0); begin a<=CTT and CTP; b<=LD; process(clk,CTP,LD,CR,a,b,CQI) BEGIN if a='1' then if clk'event and clk='1' then if b='0' then CQI<=D; else CQI<=CQI+1; end if; end if; if CQI=9 then co<='1'; else co<='0'; end if;
-
6
-
0我醉了
-
0有点冷
-
0我靠
-
0
-
0
-
0表示无聊
-
0我依然来到了图书馆,好无聊
-
0八点起
-
2我好怕
-
0现在都没睡觉
-
0醉
-
0心里素质有待提高
-
1加油,不要输啊,否则这一年白玩了,希望分配给我的队友也要给力
-
0又没上体育课
-
0五一那天图书馆没有开门,去教室了为什么每次来都觉得心塞
-
0还摸不出牌以前我是很厉害的丫
-
0好无聊
-
0睡觉觉了,明天还有一节课
-
0亲爱的各位吧友:欢迎来到薛史王贾